Artikel-ID: 000074766 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 02.05.2016

Warum hat mein PLL-Ausgang eine falsche Phasenverschiebung im TimeQuest Timing Analyzer?

Umgebung

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II-Software berechnet der TimeQuest Timing Analyzer möglicherweise eine falsche Phasenverschiebung für Ihren PLL-Ausgangstakt. Dieses Problem tritt in Arria® V-, Cyclone® V- und Stratix® V-Designs auf, wenn Sie mit einer Phasenverschiebung ungleich Null auf dem PLL-Referenztakt verwenden derive_pll_clocks .

    Lösung

    Führen Sie eine der folgenden Aktionen aus, um dieses Problem zu umgehen:

    • Verwenden Sie die Phasenverschiebungseinstellung für den Ausgangstakt, anstatt den Referenztakt in Ihrer PLL zu phasenverschieben.
    • Beschränken Sie die PLL-Ausgaben mit der create_generated_clock Einschränkung, anstatt derive_pll_clocks.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 15 Produkte

    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SE SoC-FPGA
    Arria® V SX SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Arria® V ST SoC-FPGA
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Stratix® V GT
    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Arria® V GZ
    เอฟพีจีเอ Stratix® V GS

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.