Artikel-ID: 000078521 Inhaltstyp: Produktinformationen und Dokumente Letzte Überprüfung: 30.07.2015

Wie schränke ich PLL-Takte ein, wenn ich die Taktfrequenz in 28-nm-Geräten verwende?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II Softwareversion 10.1 und neuer beschränkt der derive_pll_clocks Befehl nicht alle Takte korrekt, wenn Altera_PLL mit PLL-Taktumschaltung verwendet wird. Dieses Problem betrifft Designs, die auf Geräte Stratix® V, Arria® V oder Cyclone® V ausgerichtet sind. Anstatt Taktfrequenzen zu erstellen, die mit jedem Eingabe-Referenztakt verknüpft sind, derive_pll_clocks werden nur Takte für den ersten Referenztakt erstellt.

    Lösung

    Um Altera_PLL-Ausgänge für jeden Referenztakt korrekt einzuschränken, verwenden Sie create_generated_clock Befehle wie im unten beschriebenen Dokument. Das Dokument enthält Anweisungen zum Erstellen dieser Befehle sowie Beispielbefehle basierend auf dem unten stehenden Beispieldesign.

    Dieses Problem wird voraussichtlich in einer zukünftigen Version der Quartus II Software behoben werden.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 15 Produkte

    Arria® V SX SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Arria® V ST SoC-FPGA
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Cyclone® V E
    Cyclone® V SE SoC-FPGA
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Arria® V GZ

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.