Artikel-ID: 000079868 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 30.06.2014

Warnung (332174): Der ignorierte Filter unter <filename>.sdc: <hierarchy>.gpll~PLL_OUTPUT_COUNTER|vco1ph[0] konnte nicht mit einem Pin abgeglichen werden</hierarchy></filename>

Umgebung

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Diese Warnung kann in der Quartus® II Software angezeigt werden, wenn ein Design kompiliert wird, das die PLL-Intel® FPGA IP in den Gerätefamilien Stratix® V, Arria® V oder Cyclone® V enthält.
    Das Problem tritt auf, wenn Sie eine create_generated_clock Beschränkung für Ihre PLL manuell anwenden, anstatt die derive_pll_clocks Beschränkung zu verwenden.

    Die Warnung tritt auf, weil der Name des PLL-Ausgabezählers zwischen der Post-Synthese und den Post-Fit-Netlists nicht übereinstimmt.

    Lösung

    Um diese Warnung zu vermeiden, führen Sie eine der folgenden zwei Aktionen durch:

    • Verwenden Sie derive_pll_clocks diese Anwendung, um Ihre PLL einzuschränken.
    • Verwenden Sie Platzhalter in Ihrer create_generated_clock-Beschränkung, wie unten gezeigt:

    Für eine ursprüngliche Beschränkung, wie z. B.:

    create_generated_clock -name {<hierarchy>.gpll~PLL_OUTPUT_COUNTER|divclk} /
    -source [get_pins {<hierarchy>.gpll~PLL_OUTPUT_COUNTER|vco1ph[0]}]

    Ersetzen Sie den -source Verweis von zu vco1ph[0] vco*ph[*]:

    create_generated_clock -name {<hierarchy>.gpll~PLL_OUTPUT_COUNTER|divclk} /
    -source [get_pins {<hierarchy>.gpll~PLL_OUTPUT_COUNTER|vco*ph[*]}] /

    Dieses Problem wird ab der Intel® Quartus® Prime Pro oder Standard Edition Software Version 13.0 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 15 Produkte

    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Arria® V GZ
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Stratix® V E
    เอฟพีจีเอ Stratix® V GX
    Arria® V ST SoC-FPGA
    Arria® V SX SoC-FPGA
    Cyclone® V SE SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Cyclone® V SX SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.