Artikel-ID: 000076079 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 14.11.2014

Warum funktioniert mein ALTLVDS_TX Intel® FPGA IP mit externer PLL nicht korrekt in Arria® V-, Cyclone® V- und Stratix® V-Geräten, wenn die Quartus® II Softwareversion 14.0 verwendet wird?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Bei der Verwendung des PLL-Neukonfigurationscontrollers Intel® FPGA IP mit der AltLVDS-Intel® FPGA IP im externen PLL-Modus, in der Quartus® II Softwareversion 14.0, bei Verwendung von Arria® V-, Cyclone® V- und Stratix® V-Geräten ist ein bekanntes Problem aufgetreten.

    Nachdem Sie das Design kompiliert und erweitert haben, können Sie feststellen, dass der Arbeitszyklus für den im Timing Analyzer gemeldeten C1-Zähler nicht mit der in der entsprechenden Lösung für eine benutzerdefinierte Datenrate beschriebenen Berechnung übereinstimmt.

    Lösung

    Um dies zu umgehen, muss der PLL-Rekonfigurationscontroller von der externen PLL-IP getrennt werden, die die AltLVDS-Intel FPGA IP treibt.

    Dieses Problem wird voraussichtlich in einer zukünftigen Version der Intel® Quartus®-Software behoben.

     

     

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 15 Produkte

    Cyclone® V ST SoC-FPGA
    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Stratix® V GT
    Arria® V ST SoC-FPGA
    Arria® V SX SoC-FPGA
    เอฟพีจีเอ Arria® V GZ
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SE SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.