Artikel-ID: 000090673 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 11.04.2023

Warum schlägt mein Design in der Hardware fehl, wenn die Intel® Quartus® Prime Pro Edition Software Version 22.1 verwendet wird?

Umgebung

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Aufgrund eines Problems in der Intel® Quartus® Prime Pro Edition Software Version 22.1 können Ausfälle in der Hardware auftreten, wenn die warnmeldung unten während der Phase "Analyse und Synthese" angezeigt wird. Betroffene Designs haben ein Missverhältnis zwischen RTL und der synthetischen Netzliste.

    Die durch das Syntheseproblem beeinflussten Designs sehen die folgende Warnung in der Syntheseberichtsdatei (*.syn.rpt).

    Warnung (13228): Verilog HDL- oder VHDL-Warnung bei <file>: defparam unter generate scope kann Parameterwerte außerhalb der Hierarchie nicht ändern Datei: <file>

    Lösung

    Für die Intel® Quartus® Prime Pro Edition Software Version 22.1 steht ein Patch zur Verfügung, um dieses Problem zu beheben. Laden Sie Patch 0.05 über den entsprechenden Link unten herunter und installieren Sie es.

    Dieses Problem wurde in allen zukünftigen Versionen der Intel® Quartus® Prime Pro Edition Software ab 22.1 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® programmierbare Geräte

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.