Artikel-ID: 000086578 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 15.04.2014

Fehler (12012): Diskrepanz in Port-Richtung für Entität "altpcie_sv_hip_avmm_hwtcl:pcie_avgz_hip_avmm_0" am Port "tlbfm_out[0]". Die obere Entität erwartet einen "Output"-Pin, während die untere Entität den Pin "Input" verwendet.

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Dieser Fehler kann auftreten, wenn Sie versuchen, eine Arria® V GZ oder Stratix® V Hard IP für PCI Express® für die Avalon® Memory Mapped Qsys-Komponente in VHDL zu kompilieren.

    Dieses Problem ist auf ein Verilog HDL- zu VHDL-Konvertierungsproblem zurückzuführen.

    Lösung

    Kommentieren Sie die beiden beleidigenden tlbfm_out aus dem Top-Level-Wrapper in der Datei, die die altpcie_sv_hip_avmm_hwtcl-Komponente aufruft.

    Dieses Problem wird voraussichtlich in einer zukünftigen Version der Quartus® II Software behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 3 Produkte

    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Stratix® V GX

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.