Artikel-ID: 000085686 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 10.05.2011

Kritische Warnung: PLL-Taktfrequenz |wire_pll1_clk[0] Zuführen des Kerns hat eine illegale Ausgabefrequenz von <pll clock="" frequency="" high="" speed=""> das muss kleiner sein als <device clock="" fmax="" network=""></device></pll>

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Aufgrund eines Problems in den Quartus® II Softwareversionen 10.0, 10.0 SP1, 10.1 und 10.1 SP1 sehen Sie diese kritische Warnung möglicherweise bei der Implementierung der ALTLVDS_TX-Megafunktion im externen PLL-Modus. Wenn Sie den externen PLL-Modus verwenden, müssen Sie vor dem tx_in Port Register in Ihrem RTL hinzufügen. Diese Register müssen mit der PLL-Ausgabe getaktet sein, die als "parallel" oder "coreclk" mit langsamer Geschwindigkeit verwendet wird, was der Durch den Serialisierungsfaktor geteilten Datenrate entspricht.

Dieses Problem tritt auf, wenn Sie die Option Externe PLL auf der Allgemeinen Seite des ALTLVDS_TX MegaWistelliger™ Plug-in-Manager aktivieren, nachdem Sie entweder tx_coreclock oder tx_inclock als Wert für den Eingabeport "Register tx_in" mit dem Parameter auf der Seite der Frequenz-/PLL-Einstellungen ausgewählt haben. Aufgrund des Problems in der Quartus II Software kann die ALTLVDS_TX Variation-Datei falsch geschrieben werden, sodass der Hochgeschwindigkeits-Takt von der PLL mit den Registern verbunden ist. Dies kann gegen das Taktnetzwerk Fmax für das Gerät verstoßen.

Um zu überprüfen, ob Ihr Design von diesem Problem betroffen ist, öffnen Sie die Variation-Datei und suchen nach dem folgenden Parameter oder generischen Parameter:

  • Verilog HDL (im Defparam-Abschnitt): ALTLVDS_TX_component.registered_input
  • VHDL (im Abschnitt GENERIC MAP): registered_input

Der richtige Parameter sollte der im externen PLL-Modus sein OFF . Der Wert kann fälschlicherweise auf TX_CORECLOCK oder TX_INCLOCKeingestellt sein.

Um dieses Problem zu beheben, führen Sie die folgenden Schritte durch:

  1. Öffnen Sie die ALTLVDS_TX-Varation mithilfe des MegaWistelligen Plug-In-Managers.
  2. Deaktivieren Sie auf der Seite Allgemein die Option Externe PLL verwenden
  3. Deaktivieren Sie auf der Seite der Frequenz-/PLL-Einstellungen die Option "tx_in" Eingabeport registrieren mit
  4. Gehen Sie zurück zur Allgemeinen Seite und aktivieren Sie erneut die Option Externe PLL verwenden
  5. Klicken Sie auf " Finish " (Fertig stellen), damit diese Änderungen an der Variationdatei vorgenommen werden

Dieses Problem wurde ab der Quartus II Softwareversion 11.0 behoben.

Zugehörige Produkte

Dieser Artikel bezieht sich auf 1 Produkte

Intel® programmierbare Geräte

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.