Artikel-ID: 000085602 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 31.12.2014

CPRI IP Core Autorate Negotiation Testbench für Arria V GZ und Stratix V Geräte schlägt fehl

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Wenn Ihr CPRI IP-Kern auf ein Arria V GZ-Gerät oder einen Stratix V-Gerät, das Testbench für die automatische Absprache mit Kunden Fehlschlägt.

    Dieses Problem betrifft alle CPRI IP-Kerne mit Absprache mit automatischer Rate aktiviert, die auf ein Arria V GZ oder Stratix V-Gerät abzielen.

    Lösung

    Dieses Problem hat keine Problemumgehung.

    Dieses Problem wurde in Version 14.1 der CPRI MegaCore-Funktion behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 2 Produkte

    Stratix® V FPGAs
    เอฟพีจีเอ Arria® V GZ

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.