Artikel-ID: 000084179 Inhaltstyp: Installation und Setup Letzte Überprüfung: 28.07.2015

Fehler: add_fileset_file: Keine solche Datei 15.0/ip/altera/altera_irq_clock_crosser/cadence/altera_irq_clock_crosser.sv beim Ausführen von "add_fileset_file Cadence/altera_irq_clock_crosser.sv SYSTEM_VERILOG_ENCRYPT PATH "Cadence/altera_ir...

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II Softwareversion 15.0 kann der altera_irq_clock_crosser kein VHDL-Simulationsmodell und testbench generieren. Möglicherweise sehen Sie den Fehler unten:

    Fehler: add_fileset_file: Keine solche Datei 15.0/ip/altera/altera_irq_clock_crosser/cadence/altera_irq_clock_crosser.sv beim Ausführen von "add_fileset_file Cadence/altera_irq_clock_crosser.sv SYSTEM_VERILOG_ENCRYPT PATH "cadence/altera_irq_clock_crosser.sv" {CADENCE_SPECIFIC}" (Verfahren, das "sim_vhdl" Zeile 4) von innerhalb "sim_vhdl altera_irq_clock_crosser" aufgerufen wird

     

    Lösung

    Um dieses Problem zu beheben, aktualisieren Sie die folgende Datei altera_irq_clock_crosser_hw.tcl wie folgt:

     

    1. Öffnen< install_path>\ip\altera\tcp\altera_irq_clock_crosser\altera_irq_clock_crosser_hw.tcl in einem Texteditor
    2. Gehen Sie zum Proc sim_vhdl (Zeile 56 in 15.0b129)
    3. Entfernen Sie die folgenden zwei Zeilen:
      1. add_fileset_file Cadence/altera_irq_clock_crosser.sv SYSTEM_VERILOG_ENCRYPT PATH "cadence/altera_irq_clock_crosser.sv" {CADENCE_SPECIFIC}
      2. add_fileset_file synopsys/altera_irq_clock_crosser.sv SYSTEM_VERILOG_ENCRYPT PATH "synopsys/altera_irq_clock_crosser.sv" {SYNOPSYS_SPECIFIC}
    4. Speichern Sie altera_irq_clock_crosser_hw.tcl und öffnen oder aktualisieren (F5) in Qsys erneut.

    Dieses Problem wird in der zukünftigen Version der Quartus II Software behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® programmierbare Geräte

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.