Artikel-ID: 000081353 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 18.11.2011

Warnmeldungen, die bei der Kompilierung von Stratix V-Geräten für externe UniPHY-Speicherschnittstellen angezeigt werden

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Beim Kompilieren eines Designs für Stratix V-Geräte wird das System möglicherweise zahlreiche PLL-Warnmeldungen ähnlich wie die Folgende:

    Warning: PLL(s) placed in location FRACTIONALPLL_X0_Y1_N0 do not have a PLL clock to compensate specified - the Fitter will attempt to compensate all PLL Warning: PLL(s) placed in location FRACTIONALPLL_X0_Y1_N0 use multiple different clock network types - the PLL will compensate for output clocks Warning: PLL cross checking found inconsistent PLL clock settings: Warning: Node: mem_if|controller_phy_inst|memphy_top_inst|pll1~FRACTIONAL_PLL|mcntout was found missing 1 generated clock that corresponds to a base clock with a period of: 8.000 Warning: Clock: mem_if|ddr3_pll_write_clk was found on node: mem_if|controller_phy_inst|memphy_top_inst|pll3|outclk with settings that do not match the following PLL specifications: Warning: -multiply_by (expected: 21, found: 4264000) Warning: -divide_by (expected: 5, found: 1000000) Warning: -phase (expected: 0.00, found: 90.00)

    Diese Warnmeldungen werden erwartet und können ignoriert werden.

    Lösung

    Für dieses Problem gibt es keine Problemumgehung. Sie können unbes bedenkenlos ignorieren die Fehlermeldungen.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Stratix® V FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.