Artikel-ID: 000080865 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 18.03.2019

Fehler (10166): SystemVerilog RTL Coding-Fehler bei altpcieav_dma_hprxm_rdwr.sv(562): always_comb Konstrukt führt nicht zu einer reinen Kombinationslogik.

Umgebung

  • Intel® Quartus® Prime Pro Edition
  • Intel® Arria® 10 Cyclone® 10 Hard IP für PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Aufgrund eines Problems mit der Intel® Quartus® Prime Standard Software Version 18.0 und ab diesem Zeitpunkt tritt bei der Aktivierung der Burst-Fähigkeit für den RXM BAR2 Port des Intel® Arria® 10 oder Intel® Cyclone® 10 GX Avalon®-MM DMA-Schnittstelle für PCI Express* IP der folgende Analyse- und Synthesefehler auf.

     

    Fehler (10166): SystemVerilog RTL Coding-Fehler bei altpcieav_dma_hprxm_rdwr.sv(562): always_comb Konstrukt führt nicht zu einer reinen Kombinationslogik.

    Fehler (12152): Kann Benutzerhierarchie "*|altpcieav_256_app:g_avmm_256_dma.avmm_256_dma.altpcieav_256_app|altpcieav_dma_hprxm:hprxm_master|altpcieav_dma_hprxm_rdwr:hprxm_pcie_rdwr" nicht ausführen

     

     

    Lösung

    Ändern Sie die Verilog-Datei unten im line_602, um das Problem wie folgt zu beheben.

    Dateipfad:\ip\altera\altera_pcie\altera_pcie_hip_256_avmm\rtl\altpcieav_dma_hprxm_rdwr.sv

     

    Von:
    Standard: avmm_fbe[15:0] = 16'hFFFF;

    An:

    Standard: begin
    avmm_fbe[15:0] = 16'hFFFF;
                   first_dw_holes = 10'h0;
    Ende

     

    Dieses Problem wird voraussichtlich in der Intel® Quartus® Prime Standard Software Version 18.1 Update1 oder neuer behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 4 Produkte

    เอฟพีจีเอ Intel® Cyclone® 10 GX
    เอฟพีจีเอ Intel® Arria® 10 GT
    เอฟพีจีเอ Intel® Arria® 10 GX
    Intel® Arria® 10 GT SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.