Artikel-ID: 000079541 Inhaltstyp: Produktinformationen und Dokumente Letzte Überprüfung: 11.09.2012

Wie aktiviere ich den SignalTap II Logic Analyzer in der Quartus II Web Edition Software?

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Der SignalTap® II Logic Analyzer ist in der Quartus® II Web Edition nur verfügbar, wenn die TalkBack-Funktion aktiviert ist.

 

Um die TalkBack-Funktion in der Quartus II GUI zu aktivieren, führen Sie die folgenden Schritte durch:

  1. Wählen Sie im Menü Tools die Option Optionen aus.
  2. Wählen Sie im Fenster Optionen die Option Internetverbindung.
  3. Klicken Sie im Dialogfeld Internetkonnektivität auf TalkBack-Optionen.
  4. Aktivieren Sie im Fenster TalkBack-Optionen die Option TalkBack für Quartus II Software aktivieren. 

Um die TalkBack-Funktion außerhalb der Quartus II GUI zu aktivieren, führen Sie die folgenden Schritte durch:

  1. Navigieren Sie zum Installationsverzeichnis der Quartus II Software (Version 1.1 oder höher).
  2. Führen Sie im <Quartus II Installationsverzeichnis>/bin Ordner qtb_install.exe aus.
  3. Lesen Sie in der Registerkarte Aktivieren den Hinweis zu TalkBack-Funktionen.
  4. Aktivieren Sie die TalkBack-Funktion der Quartus II Software aktivieren und klicken Sie dann auf OK.

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.