Artikel-ID: 000078214 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 17.12.2013

Fehler (15700): Beendigung Kalibrierung Block Atom "<variation name="">|altera_mem_if_oct_stratixv:oct0|sd1a_0" verwendet den KTQIN-Port, der ohne andere Lüfter mit einem dedizierten I/O-Atom verbunden sein muss</variation>

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Dieser Fehler kann in der Quartus® II Software für Ihr Qsys-Design angezeigt werden, wenn der On-Chip Termination (OCT)-Port nicht exportiert wird.

Lösung Der OCT-Port muss im Qsys-Tool exportiert und mit einer externen I/O-Pin verbunden werden.

Zugehörige Produkte

Dieser Artikel bezieht sich auf 15 Produkte

Cyclone® V ST SoC-FPGA
Arria® V ST SoC-FPGA
เอฟพีจีเอ Arria® V GX
Arria® V SX SoC-FPGA
เอฟพีจีเอ Arria® V GT
เอฟพีจีเอ Cyclone® V E
เอฟพีจีเอ Stratix® V E
Cyclone® V SE SoC-FPGA
เอฟพีจีเอ Cyclone® V GT
Cyclone® V SX SoC-FPGA
เอฟพีจีเอ Stratix® V GX
เอฟพีจีเอ Stratix® V GT
เอฟพีจีเอ Cyclone® V GX
เอฟพีจีเอ Stratix® V GS
เอฟพีจีเอ Arria® V GZ

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.