Artikel-ID: 000077917 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 29.06.2015

Fehler (11574): ATX PLL-Knoten <atx name="" node="" pll=""> verwendet eine Ausgangsfrequenz von <configured data="" rate=""> MHz, der die maximale Frequenz von 13200,0 MHz in der angestrebten Geschwindigkeitsstufe übersteigt <device grade="...

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Der oben beschriebene Fehler kann auftreten, wenn Ihr Transceiver-Kanal für eine Datenrate konfiguriert ist, die 13,2 Gbit/s in einem Stratix® V-Gerät mit einer PMA-Geschwindigkeit von -1 übersteigt.

    Dies liegt daran, dass die Quartus® II Software standardmäßig die untere ATX PLL einer Transceiver-Bank verwendet. Die maximale von ATX PLL unterstützte Datenrate für eine untere ATX PLL beträgt 13,2 Gbit/s in einem Stratix®-V-Gerät mit einer PMA-Geschwindigkeit.

    Lösung

    Um dieses Problem zu beheben, können Sie das ATX PLL manuell an der oberen Stelle der Transceiver-Bank platzieren. Das Folgende ist eine QSF-Beispielbeschränkung.

    set_location_assignment LCPLL_X0_Y24_N57 "llp0:inst| altera_xcvr_low_latency_phy:llp0_inst |sv_xcvr_low_latency_phy_nr:sv_xcvr_low_latency_phy_nr_inst
    |sv_xcvr_10g_custom_native:sv_xcvr_10g_custom_native_inst |sv_xcvr_plls:sv_xcvr_native_insts0].
    gen_bonded_group_plls.gen_tx_plls.tx_plls|pll[0].pll.atx_pll.tx_pll"

    Die ATX PLL-Koordinaten von oben und unten finden Sie im Quartus® II Chip Planner.

    Dieses Problem wird in der Quartus® II Version 15.1 Software behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 4 Produkte

    Stratix® V FPGAs
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Stratix® V GS

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.