Artikel-ID: 000077600 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 03.01.2013

Warum könnte mein Stratix V PCIe Gen 2 Design zeitweilig auf die Gen1-Geschwindigkeit heruntertrainieren?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Fehlers in der Quartus® II Software können Sie Ihren Stratix® V PCIe® Gen 2 Design-Downtrain von Gen 2 bis Gen 1 erleben.

    Dieses Problem betrifft nur Stratix V-Geräte und Versionen der Quartus II Software bis zu Version 12.0 SP2.

    Lösung

    Um dieses Problem zu beheben, aktualisieren Sie auf Quartus II Softwareversion 12.0 SP2 und installieren Sie dann Geräte-Patch 2.dp5 oder höher von der entsprechenden Lösung unten.

    Wie in der Readme-Datei für diesen Geräte-Patch beschrieben, müssen Sie die folgenden Schritte durchführen, um diese Lösung erfolgreich anzuwenden:

    1. Fügen Sie die folgenden QSF-Einstellungen hinzu:

    set_instance_assignment -name XCVR_RX_SD_ON 1 -zu
    set_instance_assignment -name XCVR_RX_SD_OFF 5 - bis
    set_instance_assignment -name XCVR_RX_SD_THRESHOLD 4 -zu
    set_instance_assignment -name XCVR_RX_COMMON_MODE_VOLTAGE VTT_0P70V -to

    2. Der PHY IP-Rekonfigurationscontroller muss mit der PCIe-IP verbunden sein

    G1/G2: Offset-Stornierung verwenden ON

    3. DIE PCIe-IP neu generieren

    4. Design neu kompilieren

    Dieses Problem wird voraussichtlich in einer zukünftigen Version der Quartus II Software behoben.

    Zugehörige Lösung:

    http://www.altera.com/support/kdb/solutions/rd08232012_334.html

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 3 Produkte

    Stratix® V FPGAs
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Stratix® V GT

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.