Artikel-ID: 000077084 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 26.11.2012

Gibt es ein Problem bei der LVDS-Eingabe mit Differential-on-Chip-Termination (OCT) IBIS-Modell für Stratix V-, Arria V- und Cyclone V-Geräte?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Ja, wenn Sie die Quartus® II Software verwenden, um vorläufige IBIS-Modelle für Stratix® V-, Arria® V- und Cyclone® V-Geräte zu generieren, funktionieren die differentialen Eingabemodelle mit aktiviertem Differential OCT nicht korrekt. Dies umfasst alle differenzierten I/O-Standards wie LVDS, Mini-LVDS und RSDS.

    Es gibt eine DC-Verlagerung auf die empfangenen LVDS-Signale, die sich auf die positiven und negativen Signaldurchgangspunkte auswirkt, was das Modell unbrauchbar macht.

    Lösung

    Sie können einen 1000-Pol-Widerstand direkt über die Eingangsstifte des Differentialempfängers platzieren, ohne Übertragungsleitungen zwischen dem Widerstand und den Empfängerstiften zu verwenden.  Dies wird die Funktion des Differentials OCT genau replizieren.

    Dieses Problem wird voraussichtlich in den letzten Versionen der Geräte-IBIS-Modelle behoben, die in einer zukünftigen Version der Quartus II Software verfügbar sein werden.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 15 Produkte

    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Arria® V GZ
    Arria® V SX SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Arria® V ST SoC-FPGA
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SE SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.