Artikel-ID: 000076732 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 06.11.2020

Warum kann meine VHDL-Variante des eCPRI Intel® FPGA IP in Synopsys VCS MX® nicht simuliert werden?

Umgebung

  • Intel® Quartus® Prime Pro Edition
  • Intel® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Aufgrund eines Problems in den Intel® Quartus® Prime Software-Versionen 20.1 und neuer wird der Compiler des Simulators Fehler markieren, die angeben, dass für den Parameter "ADV_MAP" Typfehler vorliegen, wenn eine VHDL-Variante des eCPRI-Intel® FPGA IP verwendet wird.

    Lösung

    Um dieses Problem in der Intel® Quartus® Prime Version 20.1 und neuer zu beheben, führen Sie die folgenden Schritte durch:

     

    1) Suchen Sie hier die generierte Top-Level-Wrapper-Datei Ihres eCPRI Intel® FPGA IP:

           /Sim


     

    2) Ändern Sie jede "ON"- oder "OFF"-Zeichenkette, die dem Parameter "ADV_MAP" zugewiesen ist, in Integer 1 bzw. 0, wie unten gezeigt:

     

    Von

    ADV_MAP: String := "ON";

     

    An

    ADV_MAP: Integer := 1;

     

    Von

    ADV_MAP = > "AUS",

     

    An

    ADV_MAP = > 0,

     

    Dieses Problem wird ab der Intel® Quartus® Prime Software Version 20.3 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 2 Produkte

    Intel® Stratix® 10 FPGAs und SoC FPGAs
    Intel® Arria® 10 FPGAs und SoC FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.