Artikel-ID: 000075977 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 08.05.2012

Warum verwendet die Quartus II Synthese keine Register mit SCLR?

Umgebung

  • Zurücksetzen
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Die Quartus-II-Synthese® implementiert Keine Register mit SCLR-Pins, es sei denn, es gibt eine Mindestanzahl von Registern, die das gleiche synchrone Klare Signal verwenden. Dieses Verhalten hilft dabei, schlechte Auslastung zu vermeiden, da nur Register mit dem gleichen synchronen Clear in das gleiche LAB gepackt werden können.

    Lösung Um die Quartus II Synthese manuell zur Verwendung von Registern mit SCLR-Pins zu erzwingen, selbst wenn es nicht genügend Register mit dem gleichen synchronen Clear-Signal gibt, wenden Sie die Zuweisung Force Use of Synchronous Clear Signals auf die Register im Zuweisungseditor an.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® programmierbare Geräte

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.