Artikel-ID: 000075949 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 25.06.2013

10528 VHDL-Fehler bei < Komponentennamen>{}: Wert 0 ist außerhalb des Ziel-Beschränkungsbereichs (1 bis 2147483647)

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Dieser Fehler kann bei der Synthese von Qsys-Systemen auftreten, die aufgrund eines Problems in der Quartus® II Softwareversion 13.0 VHDL generiert haben.   Ein falscher Datentyp "positiv" wird vom HDL-Writer propagiert, was dazu führt, dass die VHDL-Komponentendeklaration nicht kompatibel ist.

    Lösung

    Zur Problemumgehung in der Quartus II SoftwareVersion 13.0:
    - Wählen Sie Verilog für die Synthese in der Qsys-GUI

    Oder

    – Bearbeiten Sie _hw.tcl für die effektierte IP in einem Texteditor, und ändern Sie den Parametertyp von "positiv" in Integer.

    Dieses Problem wird derzeit für eine zukünftige Version der Quartus II Software behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® programmierbare Geräte

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.