Artikel-ID: 000075696 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 11.09.2012

Warnung: PLL-Kreuzüberprüfung gefunden inkonsistente PLL-Takteinstellungen: Warnung: Knoten: <pll clock="" name="" output=""> wurde festgestellt, dass 1 generierter Takt fehlt, der einem Basis-Takt mit einer Zeitspanne von: lgt:PLL Input-Ta...

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Möglicherweise erhalten Sie diese Warnmeldung, wenn der/die PLL-Ausgabe-Takt(e) in der SDC-Datei nicht ordnungsgemäß eingeschränkt ist.

Beschränkt alle PLL-Ausgabe-Takte auf eine der folgenden Arten:

1. Verwenden Sie "derive_pll_clocks", um die PLL-Ausgabetakte automatisch einzuschränken, oder

2.Verwenden Sie "create_generated_clock", um die PLL-Ausgabetakte individuell einzuschränken.

Siehe Hochleistungs-FPGA-PLL-Analyse mit TimeQuest (PDF) für weitere Einzelheiten zur PLL-Analyse mit TimeQuest.

Zugehörige Produkte

Dieser Artikel bezieht sich auf 1 Produkte

Stratix® III FPGAs

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.