Artikel-ID: 000075460 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 17.06.2015

Warum sehe ich Zeitverstöße im Dreifachgeschwindigkeits-Ethernet-IP-Core mit Quartus II v15.0?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in Quartus® II Softwareversion 15.0 sehen Sie möglicherweise geringfügige Haltezeitverstöße, insbesondere bei Mehrkanal-Triple-Speed-Ethernet-IP-Core-Designs, die auf die Produktfamilien Arria® V, Arria® 10, Cyclone® V und Stratix® V abzielen.

    Lösung

    Um dieses Problem zu beheben, fügen Sie die folgende Synopsys Design Constraint-Datei hinzu (.sdc) Einschränkungen für Das Unternehmen in Ihre Projekt-SDC-Datei ein.
    if { [string equal "quartus_sta" $::TimeQuestInfo(nameofstackutable)] } {

    set_min_delay -von [get_keepers {**}] -zu [get_keepers {**}] 0,0 nm

    } else {

    set_min_delay von [get_keepers {**}] bis [get_keepers {**}]

    }

     

    *Hinweis: Erhöhen Sie den "" von "0,1ns" auf "0,2ns", wenn die Haltezeitverletzung beibehalten wurde.

     

    Weitere Empfehlungen dazu finden Sie in der "Tabelle 2-2: Empfohlene Quartus II Pin-Zuweisungen" in der Benutzerhandbuch für die Dreifach-Speed-Ethernet MegaCore-Funktion.


    Wenden Sie für TSE IP mit aktivierter IEEE 1588v2 Funktion und der Ziel-Arria-V-Gerätereihe zusätzlich zur oben genannten Problemumgehung den folgenden Patch an:
    Laden Sie bitte die entsprechende Quartus® II Softwareversion 15.0 Patch 0.14 über die folgenden Links herunter:

     


     

    Dies soll in einer zukünftigen Version der Quartus II Software behoben werden.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 18 Produkte

    เอฟพีจีเอ Intel® Arria® 10 GX
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Arria® V GT
    Intel® Arria® 10 GT SoC-FPGA
    เอฟพีจีเอ Arria® V GZ
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Intel® Arria® 10 GT
    เอฟพีจีเอ Cyclone® V GX
    Arria® V ST SoC-FPGA
    Arria® V SX SoC-FPGA
    Cyclone® V SE SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Stratix® V E
    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Stratix® V GX

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.