Artikel-ID: 000074796 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 06.11.2017

Fehler (14703): Ungültiger interner Konfigurationsmodus für Design mit Speicherinitialisierung

Umgebung

  • Intel® Quartus® Prime Standard Edition
  • Intel® Quartus® Prime Lite Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Dieser Fehler kann beim Kompilieren eines benutzerdefinierten FIFO- oder eines RAM-Blocks in den Versionen Intel® Quartus® Prime Software Standard oder Lite für ein Intel® MAX® 10-Gerät angezeigt werden.

    Dieser Fehler wird angezeigt, da Intel® MAX® 10 Geräte-Kompaktvarianten die Speicherinitialisierung nicht unterstützen. Wenn Sie keine MIF-Datei für Ihr benutzerdefiniertes Design bereitgestellt haben und diesen Fehler weiterhin in Intel® Quartus®Prime Edition Software sehen, kann dies daran bestehen, dass eine MIF-Datei durch die RTL-Codierungsart abgeleitet wird

    Lösung

    Signaldeklaration für memory_type sollte geändert werden von

    Signal mem: memory_type :=(others => (others => '0'));

    An

    Signal mem: memory_type;

    Dadurch wird sichergestellt, dass der Speicher nicht initialisiert wird und dass auf der Assembler-Bühne kein Kompilierungsfehler vorliegt.

     

     

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® MAX® 10 FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.