Artikel-ID: 000074551 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 03.10.2012

Warnung (*): Ignorierter Filter: sv_reconfig_pma_testbus_clk konnte nicht mit einer Taktfrequenz abgeglichen werden

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II Softwareversion 12.0sp2 und früher sehen Sie diese Warnung möglicherweise während des Austauschs (Ort & Route), wenn Ihr Design über mehrere Altera® Transceiver-Rekonfigurationscontroller verfügt.

    Lösung

    Um dieses Problem zu beheben, fügen Sie neue "create_generated_clock"-Beschränkungen für jede der ignorierten "sv_reconfig_pma_testbus_clk"-Beschränkungen des Transceiver-Rekonfigurationscontrollers hinzu. Die neuen Beschränkungen sollten der Benutzer-SDC-Datei hinzugefügt werden. Das Folgende ist ein Beispiel für zwei Neukonfigurationscontroller mit den Namen INST_A und INST_B.

    create_generated_clock -name sv_reconfig_pma_testbus_clk_A -source [get_pins -compatibility_mode -no_duplicates INST_A*|basic|s5|reg_init[0]|clk] -divide_by 1 [get_registers INST_A*sv_xcvr_reconfig_basic:s5|*alt_xcvr_arbiter:pif*|*grant*]

    create_generated_clock -name sv_reconfig_pma_testbus_clk_B -source [get_pins -compatibility_mode -no_duplicates INST_B*|basic|s5|reg_init[0]|clk] -divide_by 1 [get_registers INST_B*sv_xcvr_reconfig_basic:s5|*alt_xcvr_arbiter:pif*|*grant*]

    Dieses Problem wird voraussichtlich in einer zukünftigen Version der Quartus II Software behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 2 Produkte

    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Stratix® IV GX

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.