Verilog-HDL-Hochgeschwindigkeits-Differential-I/O-Fähigkeit

author-image

Von

Das Quartus® II Software-Hochgeschwindigkeits-Differential-I/O-Designbeispiel besteht aus drei Megafunktionen:

  • LVDS-Empfänger (altlvds_rx)
  • Multiplikator (lpm_mult)
  • LVDS-Sender (altlvds_tx).

Die LVDS-Empfänger-, Multiplikator- und LVDS-Sendermodule werden mit der Quartus® II Software MegaWi schuf® Plug-in. Sie sind wie in Abbildung 1 gezeigt verbunden, was die Leistung von:

  • Konvertierung von seriellen Daten mit 840 Megabit pro Sekunde (Mbit/s) in 8-Bit-parallele Daten mit altlvds_rx
  • Multiplikation der beiden 8-Bit parallelen Daten mit lpm_mult
  • Konvertierung der parallelen Daten, die aus dem Multiplikator entstehen, mithilfe von altlvds_tx

Abbildung 1. Diff_io_top-Blockdiagramm der obersten Ebene

Der Multiplikator wird in einem dedizierten DSP-Block (Digital Signal Processing) innerhalb des Intel® Stratix® Geräts implementiert. Dieses Beispiel zeigt die Datenkonvertierung. Ein Testbench wird in Verilog erstellt und mit dem Tool ModelSim*-Intel® FPGA simuliert.

Laden Sie die in diesem Beispiel verwendeten Dateien herunter:

Die Verwendung dieses Designs unterliegt den Bedingungen der Intel® Design Example Lizenzvereinbarung.

Das Design simulieren

  1. Rufen Sie das Tool ModelSim* 5.6c auf.
  2. Ändern Sie das Verzeichnis in den Speicherort, an dem sich die Simulationsdateien befinden.
  3. Quelle des Skripts gate_sim.do, indem Sie den folgenden Befehl verwenden: VSIM > gate_sim.do

Das Ergebnis der Multiplikation wird nach 180 ns angezeigt.

Weiterführende Links

Weitere Informationen zur Verwendung dieses Beispiels in Ihrem Projekt siehe:

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.