VHDL: Tri-State-Busse

author-image

Von

Dieses Beispiel implementiert 8 Tri-State-Puffer mit einer WHEN-ELSE-Klausel in einer Architecture Body-Anweisung. Es hat keinen Feedback-Pfad, und daher wird der Ausgabestift my_out als OUT anstelle von INOUT gekennzeichnet.

Dieses Beispiel ähnelt dem Beispiel VHDL: Bidirectional Bus, mit der Ausnahme, dass keine Feedback-Zeile verwendet wird.

Weitere Informationen zur Verwendung dieses Beispiels in Ihrem Projekt siehe:


prebus.vhd

BIBLIOTHEK IEEE;
    VERWENDEN SIE ieee.std_logic_1164.ALL;

ENTITY Prebus IST
        PORT(my_in:  IN STD_LOGIC_VECTOR(7 DOWNTO 0);
        sel:    IN STD_LOGIC;
        my_out: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END-Prebus;

ARCHITECTURE maxpld OF prebus IS
BEGIN
    my_out <= "ZZZZZZZZ"
    WHEN (sel = '1')
    ELSE my_in;
END maxpld;

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.