Artikel-ID: 000087012 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 28.06.2012

Automatische Absprache mit CPRI IP Core VHDL-Modellen, die auf Cyclone IV GX-Geräte abzielen, kann nicht simuliert werden

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Wenn Sie ein VHDL-Simulationsmodell für Ihre CPRI MegaCore generieren Funktion, die auf ein Cyclone IV GX-Gerät ausgerichtet ist, können Sie es nicht verwenden zur Simulation von Absprachen mit automatischer Rate.

    Dieses Problem betrifft alle VHDL-Simulationen der CPRI MegaCore-Funktion Modelle mit aktivierter automatischer Absprache, die auf einen Cyclone abzielen IV GX Gerät

    Dieses Problem betrifft nur die Simulation.

    Lösung

    Dieses Problem hat keine Problemumgehung. Um automatische Absprachen zu simulieren, generieren und simulieren Sie ein Verilog HDL-Simulationsmodell.

    Dieses Problem wurde in Version 12.0 der CPRI MegaCore-Funktion behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Cyclone® IV FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.