Artikel-ID: 000086681 Inhaltstyp: Produktinformationen und Dokumente Letzte Überprüfung: 28.07.2017

Wie kann der Arria-10-EMIF-Datenverkehrsgenerator für einen Endlosschleifentest eingestellt werden?

Umgebung

  • Externe Speicherschnittstellen für Intel® Arria® 10 FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Bei der Generierung eines Arria®10-EMIF-Beispieldesigns wird standardmäßig ein Datenverkehrsgenerator implementiert, aber die Einstellungen für den Datenverkehrsgenerator können nicht über Qsys konfiguriert werden.

     

    Lösung

    Nachdem Sie die HDL für das Arria 10-EMIF-Beispieldesign generiert haben, ändern Sie die Qsys IP-Top-Dateien mit dem allgemeinen Parameter wie unten:

    . TEST_DURATION ("UNENDLICH"),

    Der Datenverkehrsgenerator führt Lese-/Schreibtests unendlich aus.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® Arria® 10 FPGAs und SoC FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.