Artikel-ID: 000086053 Inhaltstyp: Fehlermeldungen Letzte Überprüfung: 08.10.2013

Interner Fehler: Subsystem: SIN, Datei: /quartus/h/sin_micro_tnodes_enum_translator_auto.cpp. Linie: 5985

Umgebung

  • Intel® Quartus® II Software
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    In der Quartus® II-Softwareversion 13.0sp1 kann dieser interne Fehler beim Ausführen des PowerPlay Power Analyzer angezeigt werden, wenn ein Transceiver-Empfängerkanal entweder den LVDS- oder den differentiellen LVPECL-E/A-Standard verwendet und auf Cyclone® V-, Arria® V- und Stratix®V-Geräte abzielt.

    Der Leistungsanalysator identifiziert Transceiver-Kanäle fälschlicherweise als GPIO-Pins (General Purpose I/O), aber die GPIO-Pins haben keine Daten zu HSSI-spezifischen Terminierungseinstellungen.

    Lösung

    Ein Patch ist verfügbar, um dies für die Quartus® II Software Version 13.0sp1 zu beheben.

    Dieser Patch ermöglicht es dem Leistungsanalysator, Transceiver-Kanäle korrekt zu identifizieren und die entsprechenden Daten für seine Berechnungen abzurufen.

    Dieses Problem wurde in Intel® Quartus® 13.1 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 15 Produkte

    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SE SoC-FPGA
    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Stratix® V GS
    เอฟพีจีเอ Arria® V GZ
    Arria® V SX SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Arria® V ST SoC-FPGA
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Arria® V GT

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.