Artikel-ID: 000085981 Inhaltstyp: Produktinformationen und Dokumente Letzte Überprüfung: 17.12.2012

Wie aktiviere ich den SignalTap II Logikanalysator, wenn mein Projekt über die Befehlszeile kompiliert wird?

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Um Ihr Projekt mit dem SignalTap™ II Logic Analyzer über die Befehlszeile zu kompilieren, führen Sie zunächst den folgenden Befehl aus:

quartus_stp --stp_file .stp --enable

Mit diesem Befehl werden die Informationen aus Ihrer SignalTap II-Datei (.stp) entnommen und in die Quartus II Settings File (.qsf) hinzugefügt. Kompilieren Sie dann Ihr Projekt wie normal.

Wenn Sie beispielsweise ein Skript ausführen, das jeden Prozess aufruft, fügen Sie quartus_stp als erste Zeile hinzu

quartus_stp --stp_file .stp --enable
quartus_map
quartus_cdb --merge
quartus_fit
quartus_sta
quaruts_asm

Wenn Sie Ihr Projekt mit der Single-line --flow-Methode kompilieren, (quartus_sh --flow compile ), fügen Sie den quartus_stp Aufruf hinzu.


Um den SignalTap II Logikanalysator aus Ihrem Projekt zu entfernen, verwenden Sie den Switch --disable.

quartus_stp --stp_file .stp --disable

Zugehörige Produkte

Dieser Artikel bezieht sich auf 1 Produkte

Intel® programmierbare Geräte

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.