Artikel-ID: 000085162 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 11.09.2012

Warum generiert der TimeQuest Timing Analyzer falsche PLL-Ausgabetaktfrequenzen nach Ausführung des derive_pll_clocks Befehls?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II Softwareversion 11.1 SP2 und früher kann der derive_pll_clocks Befehl im TimeQuest Timing Analyzer Taktfrequenzen mit falschen Frequenzen für bestimmte PLL-Konfigurationen generieren. Dieses Problem betrifft Designs, die auf Arria® V-, Cyclone® V- und Stratix® V-Geräte ausgerichtet sind.

    Wenn der derive_pll_clocks Befehl mit der -create_base_clocks Option verwendet wird, kann die Eingangs-Taktfrequenz die halbe korrekte Frequenz sein. Wenn der Eingangstakt vom Benutzer definiert wurde, können die PLL-Ausgabetakte doppelt so hoch sein wie die korrekte Frequenz.

    Dieses Problem betrifft nur die Zeitablaufanalyse. Die auf dem Gerät implementierte PLL hat die richtigen Multiplikations- oder Divisionsfaktoren.

    Lösung

    Wenn bei Ihrer PLL-Implementierung das beschriebene Problem auftritt, beschränken Sie Ihre PLL-Takte manuell. Ersetzen Sie den derive_pll_clocks Befehl durch create_clock und create_generated_clock Befehle.

    Dieses Problem wurde ab der Quartus II Softwareversion 12.0 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 14 Produkte

    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Stratix® V GS
    Arria® V SX SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Arria® V ST SoC-FPGA
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SE SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.