Artikel-ID: 000084324 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 28.08.2012

Warum werden die Transceiver-Taktfrequenzen in meinem Cyclone IV GX PCI Express-Design nicht automatisch korrekt generiert, wenn sie den derive_pll_clocks Befehl in der Quartus II Software Version 11.1 verwenden?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II Softwareversion 11.1 kann es sein, dass der derive_pll_clocks Befehl nicht alle notwendigen Takte für Cyclone® IV GX PCI Express-Designs generiert. Im TimeQuest Timing Analyzer sehen Sie möglicherweise Warnungen, die den folgenden ähnlich sind:

    Warning (332087): The master clock for this clock assignment could not be derived.
    Clock: |hiptxclkout was not created.
    Warning (332086): Ignoring clock spec: |cyclone_iii.cycloneiv_hssi_pcie_hip|pclkch0 Reason:
    Clock derived from ignored clock: |transmit_pcs0|hiptxclkout.  Clock assignment is being ignored.
    Warning (332086): Ignoring clock spec: |cyclone_iii.cycloneiv_hssi_pcie_hip|coreclkout Reason:
    Clock derived from ignored clock: |cyclone_iii.cycloneiv_hssi_pcie_hip|pclkch0.  Clock assignment is being ignored.

    Aufgrund dieses Problems können bestimmte Transceiver-Takte in Ihrem Design nicht korrekt eingeschränkt sein, und die IP funktioniert möglicherweise nicht korrekt in der Hardware.

    Lösung

    Zur Behebung dieses Problems steht für die Quartus II Software Version 11.1 ein Patch zur Verfügung. Laden Sie Patch 0.09 über den entsprechenden Link unten herunter und installieren Sie es.

    Dieses Problem wurde ab Version 11.1 SP1 der Quartus II Software behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    เอฟพีจีเอ Cyclone® IV GX

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.