Artikel-ID: 000084323 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 04.03.2013

Warum sind die Ausgabetaktfrequenzen meines altera_pll doppelt so hoch wie die in der Simulation erwartete Ausgangsfrequenz?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems in der Quartus® II Softwareversion 12.0 und neuer können Altera PLL Megafunction-Instanzen PLL-Ausgabeuhren mit der doppelten erwarteten Frequenz erzeugen, wenn sie simuliert werden.

    Hinweis: Dies ist nur ein Simulationsproblem.

     

    Lösung

    Um dieses Problem zu beheben, führen Sie die folgenden Schritte durch:

    1. Öffnen Sie das generierte Simulationsmodell in einem Texteditor _sim/.
    2. Nach dem Text suchenpll_vco_div
    3. Aktualisieren Sie den pll_vco_div Parameter auf 2 (möglicherweise fälschlicherweise festgelegt auf 1)

    Zum Beispiel:

    • Verilog: _sim/.vo

    Bevor:

    _altera_pll_altera_pll_.pll_vco_div = 1,

    Nach:

    _altera_pll_altera_pll_.pll_vco_div = 2,

    • VHDL: _sim/.vho

    Bevor:

    pll_vco_div => 1,

    Nach:

    pll_vco_div => 2,

    Dieses Problem beginnt mit der Quartus II Softwareversion 12.1.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 14 Produkte

    Cyclone® V SX SoC-FPGA
    เอฟพีจีเอ Cyclone® V GT
    เอฟพีจีเอ Stratix® V GX
    เอฟพีจีเอ Stratix® V GT
    เอฟพีจีเอ Cyclone® V GX
    เอฟพีจีเอ Stratix® V GS
    Arria® V SX SoC-FPGA
    Cyclone® V ST SoC-FPGA
    Arria® V ST SoC-FPGA
    เอฟพีจีเอ Arria® V GX
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Cyclone® V E
    เอฟพีจีเอ Stratix® V E
    Cyclone® V SE SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.