Artikel-ID: 000084239 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 16.08.2012

Warum zeigt der Quartus II Software-Bericht manchmal eine andere PLL-Ausgabezählerbestellung als das, was ich in meinem Design verwendet habe?

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Wenn Sie eine PLL in Arria® II, Cyclone® III, Cyclone IV, Stratix® III und Stratix IV-Geräte können Sie finden, wire_pll1_clk[X] nicht auf [X] zurückzuführen ist. Beispielsweise finden Sie möglicherweise, wire_pll1_clk[3] C3 im Bericht nicht verwendet. Dies ist zu erwarten, da der Taktgeber PLL-Ausgabe-Takte entsprechend den für das Taktnetzwerk erforderlichen Routing-Ressourcen platziert.

 

Wenn Sie wire_pll1_clk[X] dynamisch Phasenumschalten möchten, müssen Sie die Phasecounterselect für C[X] Zähler gemäß der Tabelle "Phase Counter Select Mapping" im Gerätehandbuch auswählen. Die phasecounterselect wird mit dem RTL-Code konsistent sein, die physische Zuordnung zu den Output-Counter-Positionen durch den Spieler ist ein Fehler.

Zugehörige Produkte

Dieser Artikel bezieht sich auf 10 Produkte

Stratix® III FPGAs
เอฟพีจีเอ Arria® II GX
เอฟพีจีเอ Arria® II GZ
Cyclone® III FPGAs
เอฟพีจีเอ Cyclone® III LS
เอฟพีจีเอ Cyclone® IV E
เอฟพีจีเอ Cyclone® IV GX
เอฟพีจีเอ Stratix® IV E
เอฟพีจีเอ Stratix® IV GT
เอฟพีจีเอ Stratix® IV GX

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.