Artikel-ID: 000083532 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 13.08.2015

Warum hängt meine Altera Hard IP für PCI Express an Txs, die in Root-Port-Modi gelesen werden?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung Aufgrund eines Problems in der Quartus® II 15.0 Software, im Root-Port-Modus, wird über den Txs Die Schnittstelle kann hängen (nicht abgeschlossen) nach mehreren Lesezugriffen über die CRA-Schnittstelle.
    Lösung

    Dieses Verhalten wird durch die Avalon-MM-Bridge verursacht, die die von der CRA-Schnittstelle generierten Punkteverbrauchszähler für TLPs nicht erhöht.

    Die Problemumgehung besteht darin:

    1. Installieren Sie Quartus 14.1.
    2. Gehen Sie zum Installationsverzeichnis von Quartus 14.1 und finden Sie Folgendes:
      1. /ip/altera/altera_pcie/altera_pcie_av_hip_avmm/avalon_mm_128
      2. /ip/altera/altera_pcie/altera_pcie_av_hip_avmm/avalon_stif
    3. Kopieren Sie alle Dateien in den gleichen Ordner Ihrer Quartus 15.0 Installation und überschrieben Sie alle vorhandenen Dateien. Öffnen Sie Quartus/Qsys 15.0 erneut und generieren Sie die Hard IP erneut.
    4. Bitte beachten Sie, dass die oben genannten Verzeichnisse sowohl für Arria 10 als auch für Arria V-Geräte verwendet werden.

    Dies soll in einer zukünftigen Version der Quartus-Software behoben werden.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 7 Produkte

    เอฟพีจีเอ Intel® Arria® 10 GT
    เอฟพีจีเอ Intel® Arria® 10 GX
    Intel® Arria® 10 GT SoC-FPGA
    เอฟพีจีเอ Arria® V GT
    เอฟพีจีเอ Arria® V GX
    Arria® V ST SoC-FPGA
    Arria® V SX SoC-FPGA

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.