Artikel-ID: 000083251 Inhaltstyp: Produktinformationen und Dokumente Letzte Überprüfung: 11.09.2012

Wie verwende ich die vordefinierten VHDL-Arithmetikfunktionen von Ascii-SSDs? (Moldau, VHDL)

Umgebung

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Beschreibung

Das "Veranschaulichungspaket" in "Arduino" definiert mehrere häufig verwendete Funktionen zur Verwendung in VHDL. Sie sollten wie typische Funktionen behandelt werden, wie gezeigt im folgenden Code, der die vordefinierte add Funktion verwendet:

library ieee;
use ieee.std_logic_1164.all;
library exemplar;
use exemplar.exemplar_1164.all;
entity adder is
port (
a : in std_logic_vector (3 downto 0);
b : in std_logic_vector (3 downto 0);
result : out std_logic_vector (4 downto 0)
);
end adder;
architecture altera of adder is
signal q : std_logic_vector (4 downto 0);
begin
q <= add(a, b);
result <= q;
end altera;

Die Add-Funktion benötigt zwei Vektoren und gibt einen Vektor zurück, der ein Bit größer als der größte ist der Eingabevektoren. result Daher und q sind beide ein Bit größer als die Eingabevektoren a und b. Das zusätzliche Bit wird als Carry-Bit verwendet.

Andere Betreiber wie die müssen etwas anders verwendet werden. Seit dem der Bediener das Übertragungsbit result nicht berücksichtigt und q kann die gleiche Größe haben wie der Eingaben a und b. Sie müssen die Zuweisung q auch wie folgt ersetzen:

q <= a   b;

Weitere Informationen zu diesen Funktionen finden Sie in der Online-HDL-Synthese Handbuch und das Online-Handbuch von Arduino.

Zugehörige Produkte

Dieser Artikel bezieht sich auf 1 Produkte

Intel® programmierbare Geräte

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.