Artikel-ID: 000078551 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 12.10.2011

Cadence NC-Sim Softwarefehler: ncelab: *F, GENPAR: VHDL generischer ALTERA_MULT_ADD. ACCUM_DIRECTION (./cplxm). vhd: Zeile 65, Position 16) und Verilog-Parameter, der altera_mult_add.extra_latency übergangen wird (/tools/acdskit/11.0/140/li...

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • Simulation
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Wenn Sie in der Cadence NC-Sim-Software versuchen, mit altera_lnsim.sv, RTL-Simulation eines VHDL-Designs, das eine ALTMULT_ADD Megafunktion enthält, durchzuführen, führt die NC-Sim-Software den folgenden Fehler aus:

    Cadence NC-Sim Softwarefehler: ncelab:*F, GENPAR: VHDL generischer ALTERA_MULT_ADD. ACCUM_DIRECTION (./cplxm snow.vhd:line 65, Position 16) und der Verilog-Parameter, der altera_mult_add.extra_latency überschrieben wird (/tools/acdskit/11.0/140/linux64/quartus/eda/sim_lib/altera_lnsim.sv:line 3631, Position 23) sind nicht mit dem Typ kompatibel.

    Lösung

    Um den Fehler zu vermeiden, verwenden Sie die -namemap_mixgen Option mit dem Befehl ncelab.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Stratix® V FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.