Artikel-ID: 000077209 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 11.09.2012

Warum gibt es in meinem ALTDQ_DQS2-Design widersprüchliche Warnmeldungen?

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Wenn Sie die ALTDQ_DQS2-Multifunktions in Stratix® V in Quartus II Software Version 11.1SP2 und früher entwerfen, treten möglicherweise die folgenden widersprüchlichen Warnungen auf:

    Warnung (129000): Input-Port PHASECTRLIN auf Atom "|vm_altdq_dqs2_stratixv:altdq_dqs2_inst|dqs_delay_chain", das ein stratixv_dqs_delay_chain Primitives ist, ist rechtlich nicht angeschlossen und/oder konfiguriert
    Info (129003): Der Eingabeport PHASECTRLIN[0] wird von einem konstanten Signal angetrieben, der Compiler erwartet jedoch, dass dieser Eingabeport mit einem echten Signal verbunden ist.
    Info (129003): Input-Port PHASECTRLIN[1] wird von einem konstanten Signal angetrieben, aber der Compiler erwartet, dass dieser Eingabeport mit einem echten Signal verbunden wird
    Info (129007): Der Compiler erwartet, dass der Eingabeport PHASECTRLIN getrennt wird, da der stratixv_dqs_delay_chain Atom "|vm_altdq_dqs2_stratixv:altdq_dqs2_inst|dqs_delay_chain" seinen use_phasectrlin Parameter auf "FALSE" gesetzt ist.

    Möglicherweise erhalten Sie ähnliche Warnungen für ENAPHASETRANSFERREG-, RST- und PHASEINVERTCTRL-Ports.

    Lösung

    Die Warnungen sind unbedenklich. Die Problemumgehung besteht darin, die in der Warnung angegebenen Ports zu trennen. Sobald die Ports getrennt sind, sollten die Warnungen weggehen.

     

    Dieses Problem wird in einer zukünftigen Version der Quartus® II Software behoben.

     

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    เอฟพีจีเอ Stratix® V GX

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.