Artikel-ID: 000077019 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 18.12.2019

Warum ignoriert der Intel® Arria® 10 und der Intel® Cyclone® 10 Avalon®-ST oder Avalon®-MM-Schnittstelle für PCI Express* IP-Beispiel-Designbericht die Warnungen zur SDC-Beschränkung?

Umgebung

  • Intel® Quartus® Prime Pro Edition
  • Intel® Quartus® Prime Standard Edition
  • Intel® Arria® 10 Cyclone® 10 Hard IP für PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Beim Kompilieren des Intel® Arria® 10 oder der Intel® Cyclone® 10 Avalon®-ST oder Avalon®-MM-Schnittstelle für das PCI Express* IP-Beispieldesign, das mit Intel® Quartus® Prime Software Version 19.4 oder älter generiert wird, werden die folgenden warnungen zur Beschränkung durch SDC ignoriert angezeigt.

    Warnung(332174): Filter bei altera_xcvr_native_a10_false_paths.sdc(63) ignoriert: *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_10g_krfec_tx_pld_rst_n konnte nicht mit einer Kontaktstifte abgeglichen werden

    Warnung(332174): Filter bei altera_xcvr_native_a10_false_paths.sdc(53) ignoriert: *twentynm_xcvr_native_inst|*inst_twentynm_pcs|*twentynm_hssi_*_pld_pcs_interface*|pld_pmaif_tx_pld_rst_n konnte nicht mit einer Pin abgeglichen werden

    Warnung(332049): Set_max_skew bei altera_pci_express.sdc(34) ignoriert: Argument -to mit Wert [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg[*]}] enthält null Elemente

    Warnung(332049): Set_max_skew bei altera_pci_express.sdc(35) ignoriert: Argument -to mit Wert [get_registers {*|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_data_reg_1[*] *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|dbg_rx_datak_reg_1[*]}] enthält null Elemente

    Warnung(332049): Set_max_delay auf altera_pci_express.sdc(37) wird ignoriert: Das Argument ist eine leere Sammlung

    Warnung(332174): Ignorierter Filter bei altera_pci_express.sdc(38): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync_1|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] konnte nicht mit einem Taktgeber oder Keeper oder Register oder Port, einer Pin- oder Zelle oder Partition abgeglichen werden.

    Warnung(332049): Set_false_path auf altera_pci_express.sdc(38) wird ignoriert: Das Argument ist keine Objekt-ID

    Warnung(332174): Ignorierter Filter bei altera_pci_express.sdc(39): *|altpcie_a10_hip_pipen1b:altpcie_a10_hip_pipen1b|altpcie_sc_bitsync_node:rx_polinv_dbg.dbg_rx_valid_altpcie_sc_bitsync|altpcie_sc_bitsync:altpcie_sc_bitsync|altpcie_sc_bitsync_meta_dff[0] konnte nicht mit einer Taktfrequenz oder einem Keeper oder einer Register- oder Port-, Pin- oder Zelle oder Partition abgestimmt werden

    Warnung(332049): Set_false_path auf altera_pci_express.sdc(39) wird ignoriert: Das Argument ist keine Objekt-ID

                 

    Diese Warnungen zur Beschränkung der DEZA können ignoriert werden.

     

    Lösung

    Benutzer können diese SDC-Beschränkungswarnhinweise sicher ignorieren.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 2 Produkte

    เอฟพีจีเอ Intel® Cyclone® 10 GX
    Intel® Arria® 10 FPGAs und SoC FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.