Artikel-ID: 000076587 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 30.10.2017

Warum sehe ich einen Zeitüberschreitungsfehler zwischen mgmt_clk und frame_clk in einem einfachen Sendermodus mit JESD204B-Designbeispiel?

Umgebung

  • Intel® Quartus® Prime Pro Edition
  • JESD204B Intel® FPGA IP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Für ein Beispiel für den simplex Transmitter-Modus JESD204B, das auf Intel® Arria® 10 Geräte ausgerichtet ist, können Sie Timing-Verletzungen zwischen mgmt_clk und frame_clk in der Intel® Quartus® Prime Pro Edition Sofware Version 17.0 oder neuer beobachten. Beide Clock-Domains sind tatsächlich miteinander verbunden, wodurch es sicher ist, die Pfade zwischen beiden Domains zu durchtrennen.

    Lösung

    Um dies zu umgehen, bearbeiten Sie die Datei altera_jesd204_ed_.sdc und fügen Sie die frame_clk (u_altera_jesd204_ed_qsys_|core_pll|core_pll|frame_clk) der set_clock_groups-Beschränkung wie folgt hinzu:

    set_clock_groups -alle -gruppe {device_clk \

    u_altera_jesd204_ed_qsys_|core_pll|core_pll|frame_clk \

    u_altera_jesd204_ed_qsys_|core_pll|core_pll|link_clk \

    ...} \

    -group {mgmt_clk ...} \

    -group {altera_reserved_tck}

    Dieses Problem wird ab Intel® Quartus® Prime Pro Edition Software Version 17.1 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® Arria® 10 FPGAs und SoC FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.