Kritisches Problem
Wenn Sie Vergleichsfunktionen für die Fließkomma-IP erstellen
Kerne in der 13.1 Quartus® II Softwareversion, der Top-Level-Datei .vhd
wird ohne den erforderlichen "b"-Port generiert. Diese .vhd
Datei
Fehler tritt nur beim Erstellen von Vergleichsfunktionen auf; andere Funktionen
von altera_fp_functions
sind nicht betroffen.
Dieses Problem wurde in der 14.0 Quartus II Softwareversion behoben.
In der 13.1 Quartus II Softwareversion, wenn Sie eine
Vergleichsfunktion namens CMP, die Software generiert eine Top-Ebene
Datei genannt cmp.vhd
; diese Datei fehlt die erforderliche
"b" Port. Sie können folgende Einstellungen ändern cmp.vhd
, um folgende Eigenschaften aufzunehmen:
erforderlicher "b"-Port:
entity cmp is
port (
clk : in std_logic := \'0\';
-- clk.clk
areset : in std_logic := \'0\';
-- areset.reset
a : in std_logic_vector(31 downto 0) := (others
=> \'0\'); -- a.a
b : in std_logic_vector(31 downto 0) := (others
=> \'0\'); -- b.b
q : out std_logic_vector(0 downto 0)
-- q.q
);
end entity cmp;
architecture rtl of cmp is
component cmp_0002 is
port (
clk : in std_logic := \'X\';
-- clk
areset : in std_logic := \'X\';
-- reset
a : in std_logic_vector(31 downto 0) := (others
=> \'X\'); -- a
b : in std_logic_vector(31 downto 0) := (others
=> \'X\'); -- b
q : out std_logic_vector(0 downto 0)
-- q
);
end component cmp_0002;
begin
cmp_inst: component cmp_0002
port map(
clk => clk, -- clk.clk
areset => areset, -- areset.reset
a => a, -- a.a
b => b, -- b.b
q => q -- q.q
);end architecture rtl; -- of cmp