Kritisches Problem
Die Demo-Testbench für 40GBASE-KR4-Varianten von der 40- und 100-Gbit/s Ethernet MAC und PHY IP-Kern kann nicht simuliert werden erfolgreich mit dem Cadence NCSIM-Simulator.
Der Fehler befindet sich im run_ncsim.sh Simulator-Skript. Die folgende Beispiel-Fehlermeldung weist auf den Fehler hin:
ncelab: *E,CUVMUR (./alt_e40_avalon_kr4_tb.sv,1213|14):
instance ‘alt_e40_avalon_kr4_tb.reco_bundle_1’ of design unit ‘sv_rcn_bundle’
is unresolved in ‘work.alt_e40_avalon_kr4_tb: module’.
Zwei Optionen stehen Ihnen zur Verfügung, um dieses Problem zu beheben.
Sie können die 40GBASE-KR4 40-100GbE IP-Kerndemonstration simulieren Testbench mit dem Mentor Graphics ModelSim Simulator.
Alternativ können Sie Ihre Testbench-Dateien bearbeiten. Du musst Bereinigen Sie auch nach einem erfolglosen Simulator mit der Nichtbearbeitenden Dateien.
Die folgenden Änderungen stellen sicher, dass Ihre IP-Core-Testbench-Dateien sind mit dem Cadence NCSIM-Simulator kompatibel:
- Ändern Sie das Verzeichnis in _example/alt_e40_e100/example_testbench.
- Öffnen Sie die Datei run_ncsim.sh in einem Texteditor. und ersetzen Sie die folgende bestehende Zeile mit dem folgenden neuen Befehl:
- Öffnen Sie die Datei kr4_example_files.txt in einem Texteditor und entfernen Sie die folgenden zwei Zeilen:
- Entfernen Sie das Bibliothekenverzeichnis , das Sie erstellt haben Ihre erfolglose Simulation wurde mit der nichteditierten run_ncsim.sh-Datei ausgeführt.
Ersetzen Sie diese bestehende Zeile:
ncvlog -F ./kr4_example_files.txt
mit diesem Ersatzbefehl:
ncvlog -sv -F ./kr4_example_files.txt
../example/common/alt_e40_e_reco/alt_e40_e_reco/altera_xcvr_functions.sv
../example/common/alt_e40_e_reco/alt_e40_e_reco/xv_xcvr_h.sv
Dieses Problem wurde in Version 14.0 der 40- und 100-Gbit/s behoben Ethernet MAC und PHY MegaCore-Funktion.