Artikel-ID: 000076237 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 30.06.2014

40 GbE MAC- und PHY-IP-Core 40GBASE-KR4 Testbench unterstützt Cadence-NCSIM-Simulator nicht

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Die Demo-Testbench für 40GBASE-KR4-Varianten von der 40- und 100-Gbit/s Ethernet MAC und PHY IP-Kern kann nicht simuliert werden erfolgreich mit dem Cadence NCSIM-Simulator.

    Der Fehler befindet sich im run_ncsim.sh Simulator-Skript. Die folgende Beispiel-Fehlermeldung weist auf den Fehler hin:

    ncelab: *E,CUVMUR (./alt_e40_avalon_kr4_tb.sv,1213|14): instance ‘alt_e40_avalon_kr4_tb.reco_bundle_1’ of design unit ‘sv_rcn_bundle’ is unresolved in ‘work.alt_e40_avalon_kr4_tb: module’.

    Lösung

    Zwei Optionen stehen Ihnen zur Verfügung, um dieses Problem zu beheben.

    Sie können die 40GBASE-KR4 40-100GbE IP-Kerndemonstration simulieren Testbench mit dem Mentor Graphics ModelSim Simulator.

    Alternativ können Sie Ihre Testbench-Dateien bearbeiten. Du musst Bereinigen Sie auch nach einem erfolglosen Simulator mit der Nichtbearbeitenden Dateien.

    Die folgenden Änderungen stellen sicher, dass Ihre IP-Core-Testbench-Dateien sind mit dem Cadence NCSIM-Simulator kompatibel:

    1. Ändern Sie das Verzeichnis in _example/alt_e40_e100/example_testbench.
    2. Öffnen Sie die Datei run_ncsim.sh in einem Texteditor. und ersetzen Sie die folgende bestehende Zeile mit dem folgenden neuen Befehl:
    3. Ersetzen Sie diese bestehende Zeile:

      ncvlog -F ./kr4_example_files.txt

      mit diesem Ersatzbefehl:

      ncvlog -sv -F ./kr4_example_files.txt

    4. Öffnen Sie die Datei kr4_example_files.txt in einem Texteditor und entfernen Sie die folgenden zwei Zeilen:
    5. ../example/common/alt_e40_e_reco/alt_e40_e_reco/altera_xcvr_functions.sv

      ../example/common/alt_e40_e_reco/alt_e40_e_reco/xv_xcvr_h.sv

    6. Entfernen Sie das Bibliothekenverzeichnis , das Sie erstellt haben Ihre erfolglose Simulation wurde mit der nichteditierten run_ncsim.sh-Datei ausgeführt.

    Dieses Problem wurde in Version 14.0 der 40- und 100-Gbit/s behoben Ethernet MAC und PHY MegaCore-Funktion.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Intel® programmierbare Geräte

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.