Artikel-ID: 000075689 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 22.03.2022

Warum exportiert die Intel® FPGA P-Tile Avalon Streaming IP for PCI Express* Design Example Rekonfigurationsschnittstellen auf Top-Level-Pins, Ports?

Umgebung

  • Intel® Quartus® Prime Pro Edition
  • PCI Express*
  • Avalon-ST Intel® Stratix® 10 Hard IP für PCI Express*
  • Avalon-MM Intel® Stratix® 10 Hard IP für PCI Express*
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Beschreibung

    Aufgrund eines Problems mit dem Intel® FPGA P-Tile Avalon Streaming IP for PCI Express* Design Example werden Neukonfigurationsschnittstellen fälschlicherweise in Top-Level-Pins/Ports exportiert?

    Dies kann je nach den mit diesen Stiften auf der tatsächlichen Platine verbundenen Signalen zu Designinstabilität führen.

    Die folgenden Signale werden fälschlicherweise in die oberste Ebene exportiert.

    dummy_user_avmm_rst_reset
    p0_config_tl_dl_timer_update
    xcvr_reconfig_read
    xcvr_reconfig_readdatavalid
    xcvr_reconfig_waitrequest
    xcvr_reconfig_write
    p0_config_tl_tl_cfg_add
    p0_config_tl_tl_cfg_ctl
    p0_config_tl_tl_cfg_func
    p0_tx_cred_tx_cdts_type
    p0_tx_cred_tx_data_cdts_consumed
    xcvr_reconfig_address
    xcvr_reconfig_writedata
    xcvr_reconfig_readdata

    Lösung

    Um dieses Problem zu umgehen, ändern Sie RTL auf der obersten Ebene, um zu verhindern, dass diese Signale exportiert werden, oder verwenden Sie virtuelle Pin-Zuweisung, um das Gleiche zu erreichen.

    Dieses Problem wird ab der Intel® Quartus® Prime Pro Edition Software Version 21.3 behoben.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 3 Produkte

    Intel® Agilex™ 7 FPGAs und SoC-FPGAs der I-Reihe
    Intel® Stratix® 10 DX FPGA
    Intel® Agilex™ 7 FPGAs und SoC-FPGAs der F-Reihe

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.