Artikel-ID: 000074476 Inhaltstyp: Fehlerbehebung Letzte Überprüfung: 11.07.2015

Cyclone V Hard IP für PCI Express IP Core VHDL-Modell konnte mit ModelSim-Altera Simulator möglicherweise nicht erfolgreich simuliert werden

Umgebung

  • Intel® Quartus® II Anmeldungs-Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Kritisches Problem

    Beschreibung

    Wenn Sie ein VHDL-Simulationsmodell für eine Cyclone V Hard IP for PCI Express IP generieren Variation des Core Gen2 x4 Endpunkts, mit der Sie Ihren IP-Kern nicht erfolgreich simulieren können der ModelSim-Altera-Simulator.

    Lösung

    Dieses Problem hat keine Problemumgehung. Dazu müssen Sie ein Verilog HDL-Simulationsmodell verwenden Variation der IP-Kerne oder Simulation mit einem anderen Simulationstool.

    Zugehörige Produkte

    Dieser Artikel bezieht sich auf 1 Produkte

    Cyclone® V FPGAs und SoC FPGAs

    Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.