Häufig gestellte Fragen zur Energieanalyse und -optimierung

Häufig gestellte Fragen (FAQs) zu den Intel PowerPlay Energieanalyse- und Optimierungstools für die Intel Quartus Prime Software.

FAQs

Häufig gestellte Fragen

Für Intel® Stratix® 10, Intel Agilex® 7 FPGAs und neuere Familien umfasst die Intel Energieanalyse-Technologie das Tool Power and Thermal Calculator (PTC) und die Power Analyzer Tools in der Intel® Quartus® Prime Software. Sie können das PTC Tool aus dem FPGA Software Download Center herunterladen, indem Sie die neueste Version der Intel Quartus Prime Pro Software auswählen und zur Registerkarte Zusätzliche Software navigieren.

Für Intel® Arria® 10 und frühere Teile umfasst Intels Energieanalyse-Technologie Excel-basierte Early Power Estimators (EPE) und Energieanalyse-Tools in der Intel® Quartus® Prime-Software. Sie können die EPE von der Intel Website für die jeweilige Gerätereihe herunterladen.

Der Leistungsanalysator ist in die Intel Quartus Prime-Software eingebettet.

Mit diesen Tools zur Energieanalyse können Sie den Energieverbrauch vom ersten Designkonzept bis zur Designimplementierung schätzen.

Verwenden Sie für die Produktreihen Intel® Stratix® 10, Intel Agilex® 7 FPGAs und neuer den PTC, um den Energieverbrauch Ihres Designs vor der Erstellung des Designs oder während des Designprozesses abzuschätzen. Sie können eine vorläufige thermische Analyse Ihres Entwurfs durchführen und die Energieverwaltung planen.

Verwenden Sie für Intel® Arria® 10 und frühere Produktreihen die EPE, um den Stromverbrauch Ihres Designs vor der Erstellung des Designs oder während des Designprozesses abzuschätzen. Sie können eine vorläufige thermische Analyse Ihres Entwurfs durchführen und die Energieverwaltung planen.

Verwenden Sie das Power Analyzer-Tool, um nach Abschluss der Planung eine genaue Schätzung der Leistung zu erhalten und sicherzustellen, dass die Temperatur- und Versorgungsbudgets nicht verletzt werden.

Der Leistungsanalysator bietet einen flexiblen Rahmen für die Spezifikation von Signalaktivitäten. Dies spiegelt die Bedeutung der Verwendung repräsentativer Signalaktivitätsdaten während der Leistungsanalyse wider. Verwenden Sie die folgenden Quellen, um Informationen über die Signalaktivität bereitzustellen:

  • Simulationsergebnisse
  • Vom Benutzer eingegebene Knoten-, Entitäts- und Taktzuweisungen
  • Vom Benutzer eingegebene Standard-Umschaltratenzuweisung
  • Vektorlose Schätzung

Mit dem Leistungsanalysator können Sie auch die Datenquellen für die Signalaktivität auf Signal-für-Signal-Basis mischen und anpassen.

Die Genauigkeit der Leistungsabschätzung hängt von der Entwurfsphase ab. Verwenden Sie für ein teilweise abgeschlossenes Design oder in der Konzeptphase den PTC oder die EPE (je nachdem, was zutrifft), um eine erste Leistungsschätzung zu erhalten. Bei abgeschlossenen Designs liefert die simulationsbasierte Leistungsschätzung, die vom Leistungsanalysator generiert wird, eine genaue Leistungsschätzung im Vergleich zu frühen Leistungsschätzungen.

Für Intel® Stratix® 10, Agilex und neuer, wird die Genauigkeit des Leistungsmodells für den Intel Quartus Prime Power Analyzer pro Stromschiene bestimmt.

Für die meisten Intel® Stratix® 10 Designs hat der Intel Quartus Prime Power Analyzer die folgende Genauigkeit unter der Annahme der endgültigen Leistungsmodelle: Innerhalb von 10 % des Siliziums für die meisten Stromschienen mit höherer Leistung, unter der Annahme genauer Eingaben und Umschaltraten.

Für die meisten Intel Agilex Designs hat der Intel Quartus Prime Power Analyzer die folgende Genauigkeit, wenn man von den endgültigen Leistungsmodellen ausgeht: Innerhalb von 10 % des Halbleiters für alle Stromschienen, unter der Annahme genauer Eingaben und Umschaltraten.

Bei Intel® Arria® 10 und älter ist das Leistungsanalyse-Tool mit einer Genauigkeit (bis auf ±20 %) des tatsächlichen Stromverbrauchs des Geräts genau, vorausgesetzt, dass die angegebenen Eingangsvektoren für den typischen Designbetrieb repräsentativ sind. Die Genauigkeit der Ergebnisse des frühen Leistungsschätzers liegt im Allgemeinen innerhalb von ±20 % der Schätzungen des Leistungsanalysators, wenn von einer perfekten Eingabe der Umschaltrate ausgegangen wird.

Ja. Der simulationsbasierte Leistungsanalysator ist genauer, da er Designdetails wie Routing, Platzierung und Simulationsergebnisse verwendet, um die Genauigkeit zu verbessern.

Signalaktivität und statische Wahrscheinlichkeitsinformationen können aus einer Wertänderungsabbilddatei (.vcd) abgeleitet werden, die von den EDA-Simulatoren generiert wird.

Siehe Kapitel 2.3.2.1. Verwenden von Simulationssignalaktivitätsdaten in der Energieanalyse des Intel® Quartus® Prime Pro Edition-Benutzerhandbuchs: Energieanalyse und -optimierung, für Informationen zum Generieren einer .vcd-Datei .

Die Intel Quartus Prime-Software bietet eine leistungsgesteuerte Kompilierung, um den Stromverbrauch des Geräts vollständig zu optimieren. Die energiegesteuerte Kompilierung konzentriert sich auf die Reduzierung des Gesamtstromverbrauchs Ihres Designs mithilfe von energiegesteuerter Synthese und stromgesteuertem Platzieren und Routen.

Weitere Informationen zu den verschiedenen Energieoptimierungstechniken finden Sie im Benutzerhandbuch der Intel® Quartus® Prime Pro Edition: Energieanalyse und -optimierung

Mit der programmierbaren Leistungstechnologie können Sie die Kernlogik in Stratix® III und Stratix® IV FPGAs je nach Designanforderungen für hohe Geschwindigkeit oder niedrigen Stromverbrauch programmieren. Die Programmierbare Energietechnik ermöglicht es Stratix III und Stratix IV FPGAs, den niedrigsten Stromverbrauch und die höchste Leistung zu liefern.

So stellen Sie beispielsweise einen NMOS-Transistor im Kern von Stratix IV FPGAs wie folgt ein:

  • Im Energiesparmodus reduziert die Intel Quartus Prime-Software die Rückwärtsvorspannung (wodurch sie negativer wird), was das Einschalten des Transistors erschwert. Das reduziert den Ableitstrom und spart Strom.
  • Im Hochleistungsmodus erhöht die Intel Quartus Prime-Software die Rückwärtsvorspannung (wodurch sie weniger negativ wird), wodurch der Transistor in den wenigen zeitkritischen Pfaden leichter eingeschaltet werden kann, um die vorgegebenen Zeitvorgaben des Designs zu erfüllen und die maximale Leistung zu erzielen.

Die Intel Quartus Prime-Software steuert automatisch, welche Logik im Hochgeschwindigkeitsmodus und welche im Energiesparmodus arbeitet, basierend auf den für das Design festgelegten Timing-Einschränkungen.

Weitere Informationen zur programmierbaren Leistungstechnologie finden Sie im Whitepaper 40-nm-Stromverwaltung und Vorteile .

Weitere Informationen finden Sie im Abschnitt "Report Worksheet" im Early Power Estimator Benutzerhandbuch

Schätzungen der Stromversorgung für jede Gerätedichte und Paketkombination finden Sie in der Registerkarte "Bericht" der PTC/EPE. Verwenden Sie die "maximale" Leistungscharakteristik, um die statischen oder Standby-Leistungsspezifikationen im ungünstigsten Fall anzuzeigen.

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.