VHDL: Adder/Subtractor

author-image

Von

Dieses Beispiel beschreibt ein zwei eingabeparameterisiertes Adder-/Subtractor-Design in VHDL. Die Designeinheit multiplext Add- und Subtract-Vorgänge mit einer Addnsub-Eingabe . Synthesetools erkennen hinzufügen und subtrahieren Einheiten im HDL-Code, die Eingänge teilen und deren Ausgänge durch ein gemeinsames Signal gemultiplext werden. Software-Inferenzen lpm_addsub Megafunktion für solche Add-/Subtract-Designs.

Abbildung 1. Adder/Subtractor Top-Level-Diagramm.

Laden Sie die in diesem Beispiel verwendeten Dateien herunter:

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.