Der Timing Analyzer unterstützt Anwendungsprogrammschnittstellen (APIs) der Sammlung, die einen einfachen Zugriff auf Ports, Pins, Zellen oder Knoten im Design ermöglichen. Sie können Sammlungs-APIs mit gültigen Beschränkungen oder Tcl-Befehlen (Tool Command Language), die im Timing Analyzer angegeben werden, verwenden.
Tabelle 1 beschreibt die Sammlungsbefehle, die vom Timing Analyzer unterstützt werden.
Weitere Informationen zum Thema "Timing Analyzer" finden Sie im Timing Analyzer-Kapitel oder im SDC &Timing Analyzer API-Referenzhandbuch (PDF).
Tabelle 1. Sammlungsbefehle
Befehl |
Beschreibung |
---|---|
all_clocks |
Liefert eine Sammlung aller Taktfrequenzen im Design. |
all_inputs |
Liefert eine Sammlung von Eingabeports im Design. |
all_outputs |
Liefert eine Sammlung aller Register im Design. |
get_cells |
Liefert eine Sammlung von Zellen im Design. Alle Zellennamen in der Sammlung entsprechen dem angegebenen Muster. Platzhalter können verwendet werden, um mehrere Zellen gleichzeitig auszuwählen. |
get_clocks |
Liefert eine Sammlung von Taktfrequenzen im Design. Wenn sie als Argument für einen anderen Befehl verwendet werden, wie z. B. das -von oder -von set_multicycle_path,stellt jeder Knoten im Takt alle Knoten dar, die von den Taktfrequenzen in der Sammlung getaktet werden. Die Standardeinstellung verwendet den spezifischen Knoten (selbst wenn es sich um eine Taktfrequenz handelt) als Ziel eines Befehls. |
get_keepers |
Liefert eine Sammlung von Keeper-Knoten (nicht kombinationsfreie Knoten) im Design. |
get_nets |
Liefert eine Sammlung von Netzen im Design. Alle Netznamen in der Sammlung entsprechen dem angegebenen Muster. Sie können Platzhalter verwenden, um mehrere Netze gleichzeitig auszuwählen. |
get_nodes |
Liefert eine Sammlung von Knoten im Design. |
get_pins |
Liefert eine Sammlung von Pins im Design. Alle Pin-Namen in der Sammlung entsprechen dem angegebenen Muster. Sie können Platzhalter verwenden, um mehrere Pins gleichzeitig auszuwählen. |
get_ports |
Liefert eine Sammlung von Ports (Design-Ein- und Ausgänge) im Design. |
get_registers |
Liefert eine Sammlung von Registern im Design. |
Das nachstehende Beispiel zeigt verschiedene Verwendungen der create_clock und create_generated_clock Befehle mit Sammlungsbefehlen.
Anzahl Erstellen Sie eine einfache 10 ns mit Taktfrequenz von 60 % Arbeitszyklus create_clock -Zeitraum 10 -Wellenform {0 6} -name clk [get_ports clk] # Das folgende Multizyklen gilt für alle Pfade, die mit registers enden, die mit clk set_multicycle_path getaktet sind –zu [get_clocks clk] 2