Timing Analyzer Sammlungsbefehle

author-image

Von

Der Timing Analyzer unterstützt Anwendungsprogrammschnittstellen (APIs) der Sammlung, die einen einfachen Zugriff auf Ports, Pins, Zellen oder Knoten im Design ermöglichen. Sie können Sammlungs-APIs mit gültigen Beschränkungen oder Tcl-Befehlen (Tool Command Language), die im Timing Analyzer angegeben werden, verwenden.

Tabelle 1 beschreibt die Sammlungsbefehle, die vom Timing Analyzer unterstützt werden.

Weitere Informationen zum Thema "Timing Analyzer" finden Sie im Timing Analyzer-Kapitel oder im SDC &Timing Analyzer API-Referenzhandbuch (PDF).

Das nachstehende Beispiel zeigt verschiedene Verwendungen der create_clock und create_generated_clock Befehle mit Sammlungsbefehlen.

Anzahl Erstellen Sie eine einfache 10 ns mit Taktfrequenz von 60 % Arbeitszyklus
create_clock -Zeitraum 10 -Wellenform {0 6} -name clk [get_ports clk]
# Das folgende Multizyklen gilt für alle Pfade, die mit registers enden, die mit
clk set_multicycle_path getaktet
sind –zu [get_clocks clk] 2

Der Inhalt dieser Seite ist eine Kombination aus menschlicher und computerbasierter Übersetzung des originalen, englischsprachigen Inhalts. Dieser Inhalt wird zum besseren Verständnis und nur zur allgemeinen Information bereitgestellt und sollte nicht als vollständig oder fehlerfrei betrachtet werden. Sollte eine Diskrepanz zwischen der englischsprachigen Version dieser Seite und der Übersetzung auftreten, gilt die englische Version. Englische Version dieser Seite anzeigen.