all_outputs (::quartus::sdc)

The following table displays information for the all_outputs Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc 1.5

Syntax all_outputs [-h | -help] [-long_help]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
Description
Returns a collection of all output ports in the design.
Example Usage
project_open chiptrip
create_timing_netlist
foreach_in_collection out [all_outputs] {
    puts [get_port_info -name $out]
}
set_output_delay -clock clock1 2.0 [all_outputs]
delete_timing_netlist
project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.