::quartus::sdc_ext

The following table displays information for the ::quartus::sdc_ext Tcl package:

Tcl Package and Version ::quartus::sdc_ext 1.0
Description
Timing Constraints not defined in the SDC  Spec Version 1.5
are implemented in this package.
Any command in this package can be specified in a Timing Analyzer SDC
file.
Availability
This package is loaded by  default in the following executable:

    quartus_sta

This package is available for loading in the following executable:

    quartus_fit
Tcl Commands
derive_clock_uncertainty
derive_pll_clocks
disable_min_pulse_width
get_active_clocks
get_assignment_groups
get_fanins
get_fanouts
get_keepers
get_nodes
get_partitions
get_registers
remove_annotated_delay
remove_clock
reset_timing_derate
set_active_clocks
set_annotated_delay
set_data_delay
set_max_skew
set_net_delay
set_scc_mode
set_time_format
set_timing_derate