VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_MF_COMPONENTS.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.

component altstratixii_oct

        generic (

                lpm_hint        :       string := "UNUSED";

                lpm_type        :       string := "altstratixii_oct" );

        port(

                rdn     :       in std_logic;

                rup     :       in std_logic;

                terminationclock        :       in std_logic;

                terminationenable       :       in std_logic

        );

end component;