Release Notes For ModelSim Altera 10.4d Dec 29 2015 Copyright 1991-2015 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this document may duplicate this document in whole or in part for internal business purposes only, provided that this entire notice appears in all copies. In duplicating any part of this document the recipient agrees to make every reasonable effort to prevent the unauthorized use and distribution of the proprietary information. TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to indicate a product from, or associated with, a particular third party. The following are trademarks of of Mentor Graphics Corporation: Questa, ModelSim, JobSpy, and Signal Spy. A current list of Mentor Graphics trademarks may be viewed at www.mentor.com/terms_conditions/trademarks.cfm. End-User License Agreement: You can print a copy of the End-User License Agreement from: www.mentor.com/terms_conditions/enduser.cfm. _______________________________________________________________________ * How to Get Support ModelSim Altera is supported by Altera Corporation + World-Wide-Web Support [1]http://www.altera.com/mySupport _______________________________________________________________________ Index to Release Notes * [2]Key Information * [3]Release Announcements in 10.4d * [4]Base Product Specifications in 10.4d * [5]Compatibility Issues with Release 10.4d * [6]User Interface Defects Repaired in 10.4d * [7]SystemVerilog Defects Repaired in 10.4d * [8]VHDL Defects Repaired in 10.4d * [9]General Enhancements in 10.4d * [10]User Interface Enhancements in 10.4d * [11]SystemVerilog Enhancements in 10.4d * [12]Coverage Enhancements in 10.4d _______________________________________________________________________ Key Information * The following lists the supported platforms: + win32aloem - Windows 7, Windows 8 + linuxaloem - RedHat Enterprise Linux 5 and 6, SUSE Linux Enterprise Server 10 and 11 _______________________________________________________________________ Release Announcements in 10.4d * [nodvtid] - [10.4] Support for Windows XP and Windows Vista has been discontinued. [10.4] Licensing Information There is no licensing change between release 10.3 and 10.4. However if you are migrating to 10.4 from a release like 10.2 and older, please note the following: + Starting 10.3, it uses FLEXnet v11.11.1.1. The vendor daemons and lmgrd that are shipped with this release will be FLEXnet version 11.11.1.1. + For floating licenses it will be necessary to verify that the vendor daemon (i.e., mgcld) and the license server (i.e., lmgrd) have FLEXnet versions equal to or greater than 11.11.1.1. If the current FLEXnet version of your vendor daemon and lmgrd are less than 11.11.1.1 then it will be necessary to stop your license server and restart it using the vendor daemon and lmgrd contained in this release. + If you use node locked licenses you don't need to do anything. [10.3b] OVL is upgraded to v2.8.1. [10.3b] The VHDL OSVVM (Open Source VHDL Verification Methodology) library, sources and documentation have been updated to version 2014.01. Dependency checks in vopt and vsim will force recompilation of designs that use the osvvm library. If optimization is performed using vopt, the optimizer will automatically generate new optimized design units. Without the optimization step, vsim will detect dependency errors. [10.3] Support for RedHat Enterprise Linux (RHEL) 4.0 has been discontinued. [10.2] Support for Solaris SPARC and Solaris x86 has been discontinued. All Solaris OS platforms are not supported. [10.2] Support for RedHat Enterprise Linux (RHEL) 3.0 and Novell SUSE Linux Enterprise (SLES) 9 has been discontinued. [10.1] Support for GCC versions gcc-4.1.2-sunos510/gcc-4.1.2-sunos510x86 has been discontinued. [10.0] Support for Solaris 8 and Solaris 9 has been discontinued. _______________________________________________________________________ Base Product Specifications in 10.4d * [nodvtid] - [Supported Platforms] Linux RHEL 5 x86/x86-64 Linux RHEL 6 x86/x86-64 Linux RHEL 7 x86/x86-64 Linux SLES 10 x86/x86-64 Linux SLES 11 x86/x86-64 Windows 7 x86/x64 Windows 8 x86/x64 [Supported GCC Compilers (for SystemC)] gcc-4.7.4-linux/gcc-4.7.4-linux_x86_64 gcc-4.5.0-linux/gcc-4.5.0-linux_x86_64 gcc-4.3.3-linux/gcc-4.3.3-linux_x86_64 gcc-4.2.1-mingw32vc9 [OVL (shipped with product)] v2.8.1 [VHDL OSVVM (shipped with product)] v2014.07 [Licensing] FLEXnet v11.11.1.1 MSL v2013_3 MGLS v9.10_7.2 PCLS v9.10.7.2 _______________________________________________________________________ Compatibility Issues with Release 10.4d SystemVerilog Compatibility * dvt80536 - (source) It is not permitted to change the protected status of an existing design unit by recompiling it, it must be deleted from the library and then recompiled. * dvt79480 - (results) Fixed a bug which results in reversed bit connections, when a concat expression is passed to an unpacked port * dvt75654 - (results) SDF back-annotation now works with anonymous Non-ansi type source and destination ports. VHDL Compatibility * dvt75261 - (source) If a locally static range of the first form (A'RANGE or A'REVERSE_RANGE) was used as the index range in an array subtype indication, there was no check that the range bounds were compatible with the index subtype of the base array type. This has been fixed. General Compatibility * [nodvtid] - (results) There are multiple VPI changes to the class model to align it more closely to the LRM, and to make it more useable. Class object names are now aligned to the GUI names. More vpi_handle and vpi_iterate queries have been implemented, affecting among other things vpiClassTypespec, vpiClassObj, and vpiClassDefn objects. Queries for file and line information on class objects will return an error, as these objects are not anchored by definition to the source code. Coverage Compatibility * dvt28804 - (results) The -checkinputs switch is added in vcover merge to skip and report corrupted UCDB input files. _______________________________________________________________________ User Interface Defects Repaired in 10.4d * dvt79540 - If the -precision option is specified in a user defined radix, the option will not be listed in the "radix list" results and does not get saved in the wave.do file. This results in the user define radix not being restored correctly. This issue has been resolved. * dvt79542 - Precision values grater than 17 are not currently supported in the user defined radix. Attempts to set a precision greater than 17 will now return an error message. * dvt80314 - Hovering the mouse cursor over an inactive (not in process) class method scope can lead to a crash. This issue has been resolved. * dvt80772 - Help command anomalies have been fixed. * dvt82347 - Problem loading Tcllib package stooop in vsim has been resolved. * dvt82883 - Selected text in the Source window containing certain combinations of characters can cause the GUI to crash. This issue has been resolved. * dvt35002 - Fixed crash when expanding cover group in Objects window. * dvt80534 - Attempting to open an assert property statement for viewing sometimes resulted in a fatal error. This issue has been resolved. * dvt75988 - Fixed a crash in the UI that sometimes occurs during a restart or load of a new design. * dvt75360 - Fixed a crash in the UI when selecting or expanding certain kinds of objects in the Locals window. * dvt18485 - The Search bar in each window is now persistent. This means if a Search bar is open within a window when the application is closed, the next time the application is launched and the window opened, the Search bar will be visible as well. * dvt19881 - Using the Find feature in the Preference Dialog box will now search the entire contents, no longer requiring the that the tree be expanded first. _______________________________________________________________________ SystemVerilog Defects Repaired in 10.4d * dvt79480 - (results) Fixed a bug which results in reversed bit connections, when a concat expression is passed to an unpacked port * dvt80393 - Specifying multiple comma-delimited extensions via the "vsim -svext" switch would result in an error. This issue has been fixed. * dvt80536 - (source) It is not permitted to change the protected status of an existing design unit by recompiling it, it must be deleted from the library and then recompiled. * dvt75654 - (results) SDF back-annotation now works with anonymous Non-ansi type source and destination ports. * [nodvtid] - Vsim crashed with array index references in an inline randomization constraint where the index variable was defined inside a begin..end block. * dvt83603 - Using a parameter array index reference as the offset in an indexed slice range could lead to an internal error like: # ** Error: test.sv(5): Questa has encountered an unexpected internal error: ../../src/vlog/vgencode.c(212). _______________________________________________________________________ VHDL Defects Repaired in 10.4d * dvt79538 - The in some case, if a VHDL block has unconstrained output ports The error # ** Fatal: (vsim-3420) Array lengths do not match. Left is 0 (0 to 3). Right is (UNDEFINED) (UNCONSTRAINED ARRAY). could occur. * dvt79119 - The "change" command would not register changes in the WLF file if -vhdlvariablelogging and/or -accessobjdebug was also present for the vsim session. Also, WLF file lookup of DOIDs is case-insensitive to VHDL access type names. * dvt76973 - Variable (including protected type data member variable) whose type was array of access to record, could cause a simulator crash if the vsim command "vsim" was used to load another (or same) design during the same session of the GUI. * dvt75261 - (source) If a locally static range of the first form (A'RANGE or A'REVERSE_RANGE) was used as the index range in an array subtype indication, there was no check that the range bounds were compatible with the index subtype of the base array type. This has been fixed. * dvt79780 - Logging an alias to a signal that is of a resolved composite type would crash the simulator. * dvt80970 - If a instance of a generic package is made directly visible with a via a use statement. Multiple references to the package will result in the error ** Error: fails.vhd(4): (vcom-1078) Identifier "pkg" is not directly visible. Po tentially visible declarations are: work.pkg in library work (package) work.pkg at pkg.vhd(1) (declaration) * dvt80408 - Having an entity whose name is 200 character long or longer could cause the following error during optimization. ** Fatal: (vopt-8) Problem while reading data file "/export/home/designtree/work/top_opt/vopt4km4ma". ** Error: (vopt-2064) Compiler back-end code generation process terminated with code 2. * dvt81528 - Occasionally vcom, vopt, or vlog, could crash unexpectedly because of the command line arguments. Slightly changing the argument number or order can work around the problem. * dvt81757 - Fixes crashes at design load time as wells on commands that work on bits of a composite port like driver, add log, add wave, and other similar commands. The crash required that the actual connected to the port be a non-static expression. _______________________________________________________________________ General Enhancements in 10.4d * [nodvtid] - (results) There are multiple VPI changes to the class model to align it more closely to the LRM, and to make it more useable. Class object names are now aligned to the GUI names. More vpi_handle and vpi_iterate queries have been implemented, affecting among other things vpiClassTypespec, vpiClassObj, and vpiClassDefn objects. Queries for file and line information on class objects will return an error, as these objects are not anchored by definition to the source code. _______________________________________________________________________ User Interface Enhancements in 10.4d * dvt83067 - The Class Type column is now visible by default in the Covergroup WIndow. _______________________________________________________________________ SystemVerilog Enhancements in 10.4d * dvt83013 - The protected state of a design unit can now be changed by recompiling. In the past this seemed to work with Verilog but was intentionally not allowed with VHDL. Now, both languages allow this, and the implementation has been made more uniform and correct. _______________________________________________________________________ Coverage Enhancements in 10.4d * dvt28804 - (results) The -checkinputs switch is added in vcover merge to skip and report corrupted UCDB input files.